導航:首頁 > 電器電路 > 鍾的電路圖

鍾的電路圖

發布時間:2021-01-06 21:49:13

A. 求一個純硬體數字電子時鍾的電路

TMS3450管腳定義:

1 AM和10小時ag、

2 PM和10小時b

3 10小時c和小時e

4 小時b和g

5 小時c和d

6 小時a和f

7 10分a和f

8 10分b和g

9 10分c和d

10 10分e和分e

11 分b和g

12 分c和d

13 分a和f

14 冒號(秒)輸出

15 Vss正電源

16 報警輸出。當報警設置與當前時間相同時,輸出900Hz樂音1小時59分鍾,由報警關或打盹暫停復位、恢復到正常狀態。

17 睡眠輸出。

18 報警關。

19 報警顯示,用來顯示報警時間和設置報警時間。

20 VDD負電源。

21 分設置,設置當前的分鍾或設置報警時間的分鍾。

22 小時設置。設置當前的小時或設置報警小時。

23 睡眠輸入。用於59分鍾時間間隔內自動關收音機。

24 打盹暫停。在報警期間,此端輸入可暫時關閉報警9分鍾,之後報警信號再現。在報警的1小時59分鍾內可重復使用。

25 50/60Hz輸入。時基由50/60Hz交流電源提供時從此端輸入。

26 50/60Hz輸入選擇。選50Hz時接Vss、選60Hz時懸空。

27 CR輸入。停電後自動由電池供電時,片子內部的時鍾振盪器立刻工作,代替50/60Hz輸入,控制時間計數器繼續計時,但不顯示,來電時自動轉為交流供電,恢復顯示。在CR輸入端接的R和C的數值,決定片內時鍾振盪器頻率。

28 12/24小時選擇,接Vss顯示24小時格式。

B. 誰有數字電子鍾的電路圖

http://www.wsjx.zjwu.net/d/class/1081035-2090206/web/zonghe/6.htm
實驗儀器、工具:

1. 5V電源(或實驗箱)4個人合用1個。

2. 四連麵包板1塊。

3. 示波器2個(每班)

4. 萬用表5個(每班)。

5. 鑷子1把。

6. 剪刀1把。

六、實驗器件

1. 網路線2米/人。

2. 共陰八段數碼管6個。

3. CD4511集成塊6塊。

4. CD4060集成塊1塊。

5. 74HC390集成塊3塊。

6. 74HC51集成塊1塊。

7. 74HC00集成塊4塊。

8. 74HC30集成塊1塊。

9. 10MΩ電阻5個。

10. 500Ω電阻14個。

11. 30p電容2個。

12. 32.768k時鍾晶體1個。

13. 蜂鳴器10個(每班)

七、設計過程的日程安排

6月28日

1. 分發儀器、工具、器件

2. 講解總體設計的過程,明確數字鍾實現的功能,由哪些相對獨立的功能模塊組成,各個模塊之間互相聯系,時鍾信號傳輸路徑、方向和頻率變化。

3. 講解麵包板的結構和使用方法,連接導線的要點,包括導線剝線頭、插線方法、要求,檢查麵包板,如麵包板中的導電銅片變形或移位,更換導電銅片。

4. 七段數碼引腳排列測試,驗證每段顯示為一個發光二極體,同時完成對每個數碼管的檢查。

6月29日~7月2日

分功能講解各個模塊功能實現原理、實現,搭建實際電路一個個驗證。在接線時注意合理布線和接線的可靠性。

6月29日

a) 數碼管的解碼驅動電路接線、測試、解碼器控制功能測試(手工輸入測試電平)。

除了進一步熟悉原理外,主要練習接線合理布局,走線整齊、美觀,用手指觸動導線時也能正常工作。可以靜態顯示學號的後幾位。然選一個可正常工作的解碼、顯示電路,分別測試解碼器的3個控制引腳的作用。

6月30日

b) 晶體震盪電路接線、測試(用示波器測量4060輸入時鍾,每一路分頻輸出的頻率)。

c) 5進制計數器接線,輸入用4060的2Hz,輸出用數碼管顯示。

7月1日

d) 10進制計數器接線、測試。

e) 6進制計數器接線、測試(在10進制基礎上改)。

7月2日

f) 60進制計數器接線、測試。

g) 24進制計數器、測試(在60進制基礎上改)。

h) 校時電路接線(用RS觸發器實現鎖定、防抖動功能),用示波器觀察電路的信號選擇功能。

7月5~7日

5. 在熟悉各個功能模塊基礎上,結合對總體框圖的理解,設計總接線圖。

6. 根據總接線圖中各種元器件數量、連線,確定所有元器件布局。

7. 按以下順序接線:晶體震盪、秒電路、分電路、時電路。

8. 如時間允許加接校時電路和報時電路(整點報時)。

7月8~9日

9. 寫課程設計報告。

a) 設計的目的、要求。

b) 總體框圖設計。

c) 功能模塊設計(對所用元器件使用作一些說明)。

d) 總電路圖設計。

e) 總結:遇到的問題和解決辦法、體會、意見、建議等。

C. 求一個時鍾控制開關電路的電路圖

你可以用一個時控開關,自由設定,非常方便。看下面資料了解一下,希望對你有所幫助。
http://ke..com/view/3293539.htm

D. 用89c51設計一個電子時鍾電路圖

1.功能:
1 開機時,顯示12:00:00的時間開始計時;
2 P0.0/AD0控制「秒」的調整,每按一次加秒;
3 P0.1/AD1控制「分」的調整,每按一次加1分;
4 P0.2/AD2控制「時」的調整,每按一次加1個小時;

2. 電路原理圖
http://www.51kaifa.com/upload/eWebUpload/20060216191917106.gif

3. 系統板上硬體連線
(1)把「單片機系統」區域中的P1.0-P1.7埠用8芯排線連接到「動態數碼顯示」區域中的A-H埠上;
(2)把「單片機系統:區域中的P3.0-P3.7埠用8芯排線連接到「動態數碼顯示」區域中的S1-S8埠上;
(3)把「單片機系統」區域中的P0.0/AD0、P0.1/AD1、P0.2/AD2埠分別用導線連接到「獨立式鍵盤」區域中的SP3、SP2、SP1埠上;

4. 匯編源程序
SECOND EQU 30H
MINITE EQU 31H
HOUR EQU 32H
HOURK BIT P0.0
MINITEK BIT P0.1
SECONDK BIT P0.2
DISPBUF EQU 40H
DISPBIT EQU 48H
T2SCNTA EQU 49H
T2SCNTB EQU 4AH
TEMP EQU 4BH

ORG 00H
LJMP START
ORG 0BH
LJMP INT_T0
START: MOV SECOND,#00H
MOV MINITE,#00H
MOV HOUR,#12
MOV DISPBIT,#00H
MOV T2SCNTA,#00H
MOV T2SCNTB,#00H
MOV TEMP,#0FEH
LCALL DISP
MOV TMOD,#01H
MOV TH0,#(65536-2000) / 256
MOV TL0,#(65536-2000) MOD 256
SETB TR0
SETB ET0
SETB EA
WT: JB SECONDK,NK1
LCALL DELY10MS
JB SECONDK,NK1
INC SECOND
MOV A,SECOND
CJNE A,#60,NS60
MOV SECOND,#00H
NS60: LCALL DISP
JNB SECONDK,$
NK1: JB MINITEK,NK2
LCALL DELY10MS
JB MINITEK,NK2
INC MINITE
MOV A,MINITE
CJNE A,#60,NM60
MOV MINITE,#00H
NM60: LCALL DISP
JNB MINITEK,$
NK2: JB HOURK,NK3
LCALL DELY10MS
JB HOURK,NK3
INC HOUR
MOV A,HOUR
CJNE A,#24,NH24
MOV HOUR,#00H
NH24: LCALL DISP
JNB HOURK,$
NK3: LJMP WT
DELY10MS:
MOV R6,#10
D1: MOV R7,#248
DJNZ R7,$
DJNZ R6,D1
RET
DISP:
MOV A,#DISPBUF
ADD A,#8
DEC A
MOV R1,A
MOV A,HOUR
MOV B,#10
DIV AB
MOV @R1,A
DEC R1
MOV A,B
MOV @R1,A
DEC R1
MOV A,#10
MOV@R1,A
DEC R1
MOV A,MINITE
MOV B,#10
DIV AB
MOV @R1,A
DEC R1
MOV A,B
MOV @R1,A
DEC R1
MOV A,#10
MOV@R1,A
DEC R1
MOV A,SECOND
MOV B,#10
DIV AB
MOV @R1,A
DEC R1
MOV A,B
MOV @R1,A
DEC R1
RET
INT_T0:
MOV TH0,#(65536-2000) / 256
MOV TL0,#(65536-2000) MOD 256
MOV A,#DISPBUF
ADD A,DISPBIT
MOV R0,A
MOV A,@R0
MOV DPTR,#TABLE
MOVC A,@A+DPTR
MOV P1,A
MOV A,DISPBIT
MOV DPTR,#TAB
MOVC A,@A+DPTR
MOV P3,A
INC DISPBIT
MOV A,DISPBIT
CJNE A,#08H,KNA
MOV DISPBIT,#00H
KNA: INC T2SCNTA
MOV A,T2SCNTA
CJNE A,#100,DONE
MOV T2SCNTA,#00H
INC T2SCNTB
MOV A,T2SCNTB
CJNE A,#05H,DONE
MOV T2SCNTB,#00H
INC SECOND
MOV A,SECOND
CJNE A,#60,NEXT
MOV SECOND,#00H
INC MINITE
MOV A,MINITE
CJNE A,#60,NEXT
MOV MINITE,#00H
INC HOUR
MOV A,HOUR
CJNE A,#24,NEXT
MOV HOUR,#00H
NEXT: LCALL DISP
DONE: RETI
TABLE: DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH,40H
TAB: DB 0FEH,0FDH,0FBH,0F7H,0EFH,0DFH,0BFH,07FH
END

E. 設計一個數字石英鍾電路圖

給你一個其它電路製作的石英鍾電路,希望你能用上。一般都是先找電路,認為可以列入安裝計劃,再購元件。

F. 數字鍾完整的電路圖及原理

用數電知識的話,只要用555產生固定頻率的脈從,通過計數晶元計數同時通過LED驅動送LED顯示即可。用單片機可主用編程(全設計可給你),也可用專門晶元HT1381/HT1380。

G. 設計數字時鍾電路原理圖

這個電路圖在電子系統設計(好像是第三版)這本書上有的,自己可以去查一下。
其實要是你能搞明白這個電路的所有功能,那你的數電還是OK的!

H. 多功能數字鍾電路圖

數字鍾的VHDL設計
1、設計任務及要求:
設計任務:設計一台能顯示時、分、秒的數字鍾。具體要求如下:
由實驗箱上的時鍾信號經分頻產生秒脈沖;
計時計數器用24進制計時電路;
可手動校時,能分別進行時、分的校正;
整點報時;

2 程序代碼及相應波形
Second1(秒計數 6進制和10進制)
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity second1 is
Port( clks,clr:in std_logic;
Secs,Secg: out std_logic_vector(3 downto 0);
cout1:out std_logic);
End second1;
Architecture a of second1 is
Begin
Process(clks,clr)
variable ss,sg: std_logic_vector(3 downto 0);
variable co: std_logic;
Begin
If clr='1' then ss:="0000"; sg:="0000";
Elsif clks'event and clks='1' then
if ss="0101" and sg="1001" then ss:="0000"; sg:="0000";co:='1';
elsif sg<"1001" then sg:=sg+1;co:='0';
elsif sg="1001" then sg:="0000";ss:=ss+1;co:='0';
end if;
end if;
cout1<=co;
Secs<=ss;
Secg<=sg;
end process;
End a;
Min1(分計數器 6進制和10進制 alm實現整點報時)
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity min1 is
Port(clkm,clr:in std_logic;
mins,ming:buffer std_logic_vector(3 downto 0);
enmin,alarm: out std_logic);
End;
Architecture a of min1 is
Begin
Process(clkm,clr)
variable ms,mg :std_logic_vector(3 downto 0);
variable so,alm :std_logic;
Begin
If clr='1' then ms:="0000"; mg:="0000";
Elsif clkm'event and clkm='1' then
if ms="0101" and mg="1001" then ms:="0000";mg:="0000"; so :='1'; alm:='1';
elsif mg<"1001" then mg:=mg+1; so :='0';alm:='0';
elsif mg="1001" then mg:="0000";ms:=ms+1; so :='0';alm:='0';
end if;
end if;
alarm<=alm;
enmin<= so;
mins<=ms;
ming<=mg;
End process;
End a;

Hour1(時計數器 4進制與2進制)
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity hour1 is
Port(clkh,clr:in std_logic;
hours,hourg:out std_logic_vector(3 downto 0));
End;
Architecture a of hour1 is
Begin
Process(clkh,clr)
variable hs,hg :std_logic_vector(3 downto 0);
Begin
If clr='1' then hs:="0000"; hg:="0000";
Elsif clkh'event and clkh='1' then
if hs="0010"and hg="0011" then hs:="0000";hg:="0000";
elsif hg<"1001" then hg:=hg+1;
elsif hg="1001" then hg:="0000";hs:=hs+1; end if;
end if;
hours<=hs;
hourg<=hg;
End process;
End;

Madapt(校分)
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity madapt is
Port(en,clk,secin,m1:in std_logic;
minset:out std_logic);
End;
Architecture a of madapt is
Begin
Process(en,m1)
Begin
if en='1' then
if m1='1' then minset<=clk;
else minset<=secin; end if;
else minset<=secin ;
end if;
End process;
end;

Hadapt (校時)
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_unsigned.all;
Entity hadapt is
Port(en,clk,minin,h1:in std_logic;
hourset:out std_logic);
End;
Architecture a of hadapt is
Begin
Process(en,h1)
Begin
if en='1' then
if h1='1' then hourset<=clk;
else hourset<=minin; end if;
else hourset<=minin;
end if;
End process;
end;

Topclock(元件例化 頂層文件)
Library ieee;
Use ieee.std_logic_1164.all;
Use ieee.std_logic_arith.all;
Use ieee.std_logic_unsigned.all;
Entity topclock is
Port(clk,clr,en,m1,h1:in std_logic;
alarm:out std_logic;
secs,secg,mins,ming,hours,hourg:buffer std_logic_vector(3 downto 0));
End;
Architecture one of topclock is
Component second1
Port( clks,clr:in std_logic;
secs,secg: buffer std_logic_vector(3 downto 0);
cout1: out std_logic);
End Component;
Component min1
Port(clkm,clr:in std_logic;
mins,ming:buffer std_logic_vector(3 downto 0);
enmin,alarm: out std_logic);
End Component;
Component hour1
Port(clkh,clr:in std_logic;
hours,hourg:buffer std_logic_vector(3 downto 0));
End Component;
Component madapt
Port(en,m1,clk,secin:in std_logic;
minset:out std_logic);
End Component;
Component hadapt
Port(en,h1,clk,minin:in std_logic;
hourset:out std_logic);
End Component;
signal a,b,c,d: std_logic;
begin
u1:second1 port map(clr=>clr,
secs=>secs,secg=>secg,clks=>clk, cout1=>a);
u2:min1 port map(clr=>clr,alarm=>alarm,
mins=>mins,ming=>ming,clkm=>b,enmin=>c);
u3:hour1 port map(clr=>clr,
hours=>hours,hourg=>hourg,clkh=>d);
u4:madapt port map(en=>en,m1=>m1,clk=>clk,secin=>a,minset=>b);
u5:hadapt port map(en=>en,h1=>h1,clk=>clk,minin=>c,hourset=>d);
end;
3 電路圖
4 實驗心得
程序全部都給你寫好了啊,只 要你自己模擬,再下載到實驗箱就OK了啦

I. 求指針式普通石英鍾的印刷板電路圖.

掛牆指針式石英鍾內電路板有多種不同設計走線,但基本線路大同小異,上傳損壞電路板圖片可以更清楚。

閱讀全文

與鍾的電路圖相關的資料

熱點內容
二手房廚衛翻新要多少錢 瀏覽:411
成長的鬧鍾如何翻新 瀏覽:45
金門路家電維修 瀏覽:445
展新家電維修部怎麼樣 瀏覽:250
200鍵盤維修要多少錢 瀏覽:899
地下室自粘防水卷材搭接倍數多少 瀏覽:250
耦合電路的等效電感 瀏覽:949
房屋維修金下層樓不同意怎麼辦 瀏覽:492
凱里最大的家電批發市場在哪裡 瀏覽:726
南方水泵維修視頻 瀏覽:910
酷派上海售後維修網點 瀏覽:7
武漢方太油煙機維修電話 瀏覽:975
杭州惠普維修點查詢 瀏覽:559
三星電視塘沽維修中心 瀏覽:804
博世電錘26維修視頻 瀏覽:466
長樂市美的售後維修 瀏覽:842
飛亞達維修中心廣州 瀏覽:276
九陽豆漿機榆次維修點 瀏覽:64
網購iPhone能去售後維修 瀏覽:490
肆洋傢具 瀏覽:605